Sections
Left Column
Image
Image
AOE Etcher (STS ICP AOE Etcher)
Right Column
Text Area

AOE Etcher (STS ICP AOE Etcher)

Gases available:  C4F8, CF4, CHF3, O2, N2, H2, He & Ar
RF power sources:    1× 3000 W (max.) at 13.56 MHz for the coil electrode, 1× 600 W (max.) at 13.56 MHz for the platen electrode
Electrode coolant system:   -5 to 30 oC
High-speed turbo molecular pump:   pumping speed of 2000 L/s at 48000 rpm
Fully automatic loadlock transfer system
Substrate size:   4" single silicon or quartz wafer

 

Silicon oxide etch
Minimum Line/Space:   0.5 µm
Silicon oxide etch E/R:   > 2500 Ȧ/min
Selectivity to photoresist:   > 4:1
Selectivity to polysilicon:   > 15:1
Uniformity:   7.5%

Left Column
Image
Image
DRIE Etcher #1 (STS ICP DRIE Etcher)
Right Column
Text Area

DRIE Etcher #1 (STS ICP DRIE Etcher)

Gases available:   C4F8, SF6, O2, N2, He & Ar
RF power sources:  
1 × 1000 W (max.) at 13.56 MHz for the coil electrode
1 × 300 W (max.) at 13.56 MHz for the platen electrode
Electrode coolant system:   5 to 30 oC
High-speed turbo molecular pump:   pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate size:   4" wafers

Silicon etch:
Minimum line/space: 0.5 µm
Low-rate silicon etch E/R: from 500 Ȧ/cycle
Normal-rate silicon etch E/R: up to 2 µm/min
Selectivity to photoresist: > 50:1
Selectivity to oxide: > 80:1
Uniformity: 7%

 

Left Column
Image
Image
DRIE Etcher #2 (STS ICP DRIE Etcher)
Right Column
Text Area

DRIE Etcher #2 (STS ICP DRIE Etcher)

Gases available:   C4F8, SF6, O2, N2, He & Ar
RF power sources:  
1 × 3600 W (max.) at 13.56 MHz for the primary source
1 × 3000 W (max.) at 13.56 MHz for the secondary source
1 × 200 W (max.) at 13.56 MHz for the platen electrode
1 × 200 W (max.) at 300 - 500 kHz for the platen electrode
Electrode coolant system:   20 to 40 oC
High-speed turbo molecular pump:   pumping speed of 2350 L/s at 25000 rpm
Fully automatic loadlock transfer system
Substrate size:   4" wafers

Silicon etch:
Minimum line/space: 0.5 µm
Aspect ratio: up to 60:1
Low-rate silicon etch E/R: From 0.7 µm/min (700 Ȧ/Loop)
Normal-rate silicon etch E/R: 2 µm/min
Fast-rate silicon etch E/R: 18 µm/min
Selectivity to photoresist: from 12:1 to 250:1
Selectivity to oxide: from 24:1 to 500:1
Uniformity: < 5%

Left Column
Image
Image
DRIE Etcher #3 (SPTS Rapier DRIE Etcher)
Right Column
Text Area

DRIE Etcher #3 (SPTS Rapier DRIE Etcher)

Gases available:   C4F8, SF6, O2, N2, He & Ar
RF power sources:  
1 × 1000 W (max.) at 13.56 MHz for the coil electrode
1 × 300 W (max.) at 13.56 MHz for the platen electrode
Electrode coolant system:   20 oC
High-speed turbo molecular pump:   pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate size:   4" wafers

Silicon etch:
Minimum line/space: 1 µm
Low-rate silicon etch E/R: 1 µm/min
Normal-rate silicon etch E/R: up to 2 µm/min
Selectivity to photoresist: > 50:1
Selectivity to oxide: > 100:1
Uniformity: 7%

Left Column
Image
Image
GaN Etcher (STS ICP GaN Etcher)
Right Column
Text Area

GaN Etcher (STS ICP GaN Etcher)

Gases available:   BCl3, Cl2, CH4, SF6, O2, He & Ar
RF power sources:  
1 × 1000 W (max.) at 13.56 MHz for the coil electrode
1 × 300 W (max.) at 13.56 MHz for the platen electrode
Electrode coolant system:   5 to 30 oC
High-speed turbo molecular pump:   pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate sizes:   2", 4" or 6” single wafers or specimens

GaN etch:
E/R: ~5000 Ȧ/min
Selectivity to oxide: 10:1

Sapphire etch:
E/R: ~700 Ȧ/min
Selectivity to oxide: 1.5:1

Left Column
Image
Image
Poly Etcher (STS ICP Poly Etcher)
Right Column
Text Area

Poly Etcher (STS ICP Poly Etcher)

Gases available:   HBr, Cl2, O2, N2, He & Ar
RF power sources:
1 × 1000 W (max.) at 13.56 MHz for the coil electrode
1 × 300 W (max.)  at 13.56 MHz for the platen electrode
Electrode coolant system:   20 oC
High-speed turbo molecular pump:   pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate size:   4” single wafers

Polysilicon etch:
Minimum line/space:   0.5 µm
Low-Rate polysilicon etch E/R:   ~ 900 Ȧ/min
Selectivity to oxide:   13:1
Selectivity to photoresist:  12.5:1
Uniformity:   5%

Normal-rate polysilicon etch:
E/R:   >1800 Ȧ/min
Selectivity to photoresist:   2.5:1
Uniformity:   5%

Left Column
Image
Image
AST Metal Etcher (AST Cirie-200 Metal etcher)
Right Column
Text Area

AST Metal Etcher (AST Cirie-200 Metal etcher)

Chlorine & fluorine-based barrel-type system for etching aluminum
Gases available:   Cl2, BCl3, CF4, CHF3, He, Ar, O2 & N2
RF power:   1000 W (max.) at 13.56 MHz
Bias power:   1000 W (max.) at 13.56 MHz
Substrate size:   4" wafers
Aluminum Etch
Al etch rate:   1700 Ȧ/min
PR etch rate:   1000 Ȧ/min
SiOx etch rate:   300 Ȧ/min

Left Column
Image
Image
Lam 490 Etcher
Right Column
Text Area

Lam 490 Etcher

Fluorine- & chlorine- based parallel-plate system for etching polysilicon & nitride with endpoint detection
Gases available:   SF6, He, O2, C2F
RF power:   650 W (max.) at 13.56 MHz
Substrate size:   4” single wafers

Polysilicon etch with chlorine-based gases:
E/R: 4000 Ȧ/min
Selectivity to oxide: 20:1
Selectivity to photoresist: 1.5:1
Uniformity: 7.5%

Polysilicon etch with fluorine-based gases:
E/R: 5000 Ȧ/min
Selectivity to oxide: 20:1
Selectivity to photoresist: 1.5:1
Uniformity: 10%

Silicon nitride etch with fluorine-based gases:
E/R: 1000 Ȧ/min
Selectivity to oxide: 3:1
Selectivity to photoresist: 1.5:1
Uniformity: 5%

Left Column
Image
Image
NFF RIE Etcher
Right Column
Text Area

NFF RIE Etcher

Gases available:   CF4, CHF3, SF6 and O2
RF power:  120 W
Chiller temperature:   20 oC
Fully automatic loadlock transfer system
Substrate size:   4" wafers
Nitride etch rate:   ~500 Ȧ/min
Oxide etch rate:   ~460 Ȧ/min
Si etch rate:   ~50 to 470 Ȧ/min
Photoresist etch rate:   ~151 to 400 Ȧ/min

Left Column
Image
Image
Oxford Aluminum Etcher (Oxford Cobra 100 Aluminum Etcher)
Right Column
Text Area

Oxford Aluminum Etcher (Oxford Cobra 100 Aluminum Etcher)

Gases available:   Cl2, BCl3, HBr, CF4, SF6, O2 and Ar
RF power sources:  
1 × 3000 W (max.) at 13.56 MHz for the coil electrode
1 × 300 W (max.) at 13.56 MHz for the platen electrode
Electrode coolant system:   5 to 60 oC
High-speed turbo molecular pump:   pumping speed of 1250 L/s at 37800 rpm
Fully automatic loadlock transfer system
Substrate size:   4" wafers

Aluminum/Aluminum-Si etch:
Minimum line/space: 0.5 µm
High-rate aluminum etch E/R: 3000 Ȧ/min
Normal-rate aluminum etch E/R: 1800 Ȧ/min
Selectivity to photoresist: > 2:1
Selectivity to oxide: > 10:1
Uniformity: 5% (etch from edge to center)

Left Column
Image
Image
Oxford RIE Etcher (Oxford 80 Plus RIE Etcher)
Right Column
Text Area

Oxford RIE Etcher (Oxford 80 Plus RIE Etcher)

Gases available:   CHF3, SF6, O2, CF4, Ar, N2, He & H2
RF power:   500 W at 13.56 MHz 
Huber electrode coolant system:   -40 to 200 oC
Substrate sizes:   4" wafers, up to 3 wafers per run or specimen

Silicon dioxide etch:
E/R:   ~363 Ȧ/min for LTO
E/R:   ~352 Ȧ/min for thermal oxide
Selectivity to photoresist:   2.6:1
Selectivity to silicon nitride:  0.8:1
Selectivity to silicon:   6.7:1
Uniformity:   3.6%

Silicon nitride etch:
E/R:   ~810 Ȧ/min
Selectivity to photoresist:   1.5:1
Selectivity to silicon dioxide:   2.1:1
Selectivity to silicon:  5.4:1
Uniformity:   5.8%

Left Column
Image
Image
Trion RIE Etcher (Trion Phantom III RIE etcher)
Right Column
Text Area

Trion RIE Etcher (Trion Phantom III RIE etcher)

Gases available:   CHF3, SF6, O2, CF4, Ar, N2, He & H2
ICP power:  600 W (max.) at 13.56 MHz
RF power:   600 W (max.) at 13.56 MHz
Electrode coolant system:  0 to 30 oC
Substrate sizes:  4" wafers, up to 3 wafers per run or specimens
Silicon dioxide etch:  ~500 Ȧ/min
Silicon nitride etch:  ~850 Ȧ/min

Left Column
Image
Image
XeF2 Silicon Etcher
Right Column
Text Area

XeF2 Silicon Etcher

Gases available:   XeF2
Substrate sizes:   2", 4" single wafers or specimens

Silicon Etch Rate:
Bright-field mask:   600 Ȧ/cycle
Dark-field mask:   6000 Ȧ/cycle
Mask used:   Oxide

Left Column
Image
Image
IPC 3000 Asher #1 and #2 (Branson IPC 3000 Asher)
Right Column
Text Area

IPC 3000 Asher #1 and #2 (Branson IPC 3000 Asher)

Gases available:   O2 & N2
Microwave power:   400 W (max.) at 13.56 MHz
Substrate sizes:   2", 4" and 6" wafers or specimens

Left Column
Image
Image
IPC 3000 Asher #3 (Branson IPC 3000 Asher)
Right Column
Text Area

IPC 3000 Asher #3 (Branson IPC 3000 Asher)

Gases available:   O2 & N2
RF power:   400 W (max.) at 13.56 MHz
Substrate sizes:   2", 4" and 6" wafers or specimens

Left Column
Image
Image
PS210 Asher (Tepla PS210 Asher (Microwave))
Right Column
Text Area

PS210 Asher (Tepla PS210 Asher (Microwave))

Gases available:   O2 & N2
Microwave power:   2.45 GHz
Substrate size:   4" wafers

Left Column
Image
Image
Critical Point Dryer
Right Column
Text Area

Critical Point Dryer

  • Tousmis Automegasamdri®-915B, Series-B Critical Point Dryer
  • Automatic supercritical point dryer
  • All internal surfaces are inert to CO2 and ultra-pure alcohols.
  • 0.08 µm internal filtration system delivers clean filtered LCO2 to the process chamber.
  • Small samples and up to 6" wafers
  • Up to five wafers per single process
Left Column
Image
Image
ARC-12M Sputterer
Right Column
Text Area

ARC-12M Sputterer

Gases available:   Ar, O2 & N2
DC sputtering power:   2 × 250 W
RF sputtering power:   600 W at 13.56 MHz
Chamber pressure:   1.5 x 10-5 torr
Substrate sizes:   2", 4" wafer or square glass, or specimens
Targets available:   Ag, Al, Al/Si (1%), Au, Cu, Cr, Hf, Mo, Pt, Ti, TiW, Ni

Left Column
Image
Image
CVC-601 Sputterer
Right Column
Text Area

CVC-601 Sputterer

Gases available:   Ar & N2
DC sputtering power:   1 × 3 kW
RF sputtering power:   1200 W at 13.56 MHz
Chamber pressure:   5 × 10-7 torr
Substrate sizes:   2", 4" & 6" wafers or 4" square glass substrates
Targets available:   Cu, TiW & Au
Targets available on request:   Ti, Cr & Al-Si

Sputtering rate (Ȧ/min):
~500 Ȧ/min for Al-Si
~175 Ȧ/min for TiW
~160 Ȧ/min for Au
~1,000 Ȧ/min for Cu

No photoresist on wafers is allowed.

Left Column
Image
Image
Denton Sputterer (Denton Explorer 14 Sputterer)
Right Column
Text Area

Denton Sputterer (Denton Explorer 14 Sputterer)

Gases available:   N2 (for venting) & Ar
DC sputtering power:   2 × 600 V
RF sputtering power:   600 W at 13.56 MHz
Chamber pressure:   5 × 10-7 torr
Substrate sizes:  2" to 6" wafers or 4" square glasses, or specimens
Targets available:   TiW, Ti, Al (pure), Cu, AlSi & Ag

Sputtering rate (Ȧ/min):
~88 Ȧ/min for Ti
~148 Ȧ/min for TiW
~100 Ȧ/min for Al (pure)
~127 Ȧ/min for AlSi
~321 Ȧ/min for Cu
~857 Ȧ/min for Ag

Left Column
Image
Image
Edward Sputterer (Au) for SEM
Right Column
Text Area

Edward Sputterer (Au) for SEM

Gases available:   Ar
RF power:  100 W at 13.56 MHz 
Chamber pressure:   1 × 10-3 torr
Substrate sizes:   2" and 4" wafers or square glasses, or specimens
Targets available:   Au (For SEM only)

Left Column
Image
Image
NSC3000 Sputterer (Nano-Master NSC3000 Sputterer)
Right Column
Text Area

NSC3000 Sputterer (Nano-Master NSC3000 Sputterer)

Gases available:   O2, N2 & Ar
DC sputtering power:  1 × 1 kW
Chamber pressure:   5 × 10-6 torr
Substrate sizes:   2", 4" wafers or square glasses, or specimens
Targets available:   Al, Ti, Cr, Al-Si & Ni

Left Column
Image
Image
Varian 3180 Sputterer
Right Column
Text Area

Varian 3180 Sputterer

Gases available:   Ar & N2
DC sputtering power:  3 × 12 kW
RF back-sputtering power:   600 W at 13.56 MHz
Fully automatic wafer-handling system 
Substrate size:  4" wafers
Chamber pressure:  5 × 10-7 torr
Targets available:  Mo, Pure Al, Al-Si & Ti

Sputtering rate (Ȧ/sec):
~160 Ȧ/sec for pure Al
~180 Ȧ/sec for Al/Si (1%)
~40 Ȧ/sec for Ti
~160 Ȧ/sec for Mo

No photoresist on wafers is allowed.

Left Column
Image
Image
AST 450I Evaporator (AST Pava-450I E-Beam Evaporator)
Right Column
Text Area

AST 450I Evaporator (AST Pava-450I E-Beam Evaporator)

Gases available:   N2
E-beam power:   1 × 3 kW
Chamber pressure:   9 × 10-8 torr
Substrate sizes:   2” wafer or specimens
Sources available:   Al, Ti, Au & Ni

Left Column
Image
Image
AST 600EI Evaporator (AST Pava-600EI E-Beam Evaporator)
Right Column
Text Area

AST 600EI Evaporator (AST Pava-600EI E-Beam Evaporator)

Gases available:    O2 and N2
E-beam power:   2 × 6 kW
Chamber pressure:   9 × 10-8 torr
Substrate sizes:   2" and 4" wafers or specimens
Sources available:   Al, Au, Ti, Ni, Cr, Ge, Pt, Ag, ITO

Left Column
Image
Image
Cooke Evaporator #1 and #2 (Cooke E-Beam Evaporator)
Right Column
Text Area

Cooke Evaporator #1 and #2 (Cooke E-Beam Evaporator)

Gases available:    N2
E-beam power:   1 × 3 kW
Chamber pressure:   8 × 10-7 torr
Substrate sizes:   2" or 4" wafers
Sources available (in Phase II):   Al, Ni, Mo, Ti, Cr
Sources available (in Phase III (EC)):   Al, Ni, Ti, Au, Cr, Pt, Fe, SiO2, Ag

No photoresist on wafers is allowed for the Cook Evaporation System.